(주)마이크로시스템 소프트웨어 개발자 채용
공학DB
한양대학교 Nano Process and Device Laboratory
실험실 소개 이미지
실험실 정보안내
지도교수 안진호
전공분류 나노공학(Nano Technology),
주소 서울시 성동구 행당동 17 한양대학교 신소재공학부
전화 02-2220-0407
홈페이지 http://msenano.hanyang.ac.kr/
실험실소개

 

 

 

 

Nano Process and Device Laboratory (NPDL) is a research group led by professor Jinho Ahn. NPDL was established in 1995 within the Department of Material Science and Engineering at Hanyang University. Our group actively explores nano-processes and devices. Nano-patterning technologies including EUV Lithography and thin film process are the major research fields. 3D printing technologies and the new functional materials for 3D printing are also our new concerns.

연구분야

 

1. 리소그라피 기술이란.

리소그라피 기술은 반도체 프로세스의 핵심 기술로서 반도체 디바이스의 미세화와 집적화를 주도는 역할을 담당하고 있다. 리소그라피 기술은 마스크 (mask) 상에 설계된 패턴을 공정제어 규격하에 웨이퍼상에 구현하는 사진기술로서 총 공정비용의 35%, 공정시간의 60% 이상의 차지하는 반도체공정의 핵심기술이다. 이제까지 반도체 소자의 미세화는 매 3년마다 패턴의 크기가 약 0.7배씩 작아지는 1세대 technology의 진전이 계속되어 왔다. 이러한 반도체 디바이스의 미세화는 리소그라피 기술의 진화에 의해서 유지되어 왔다 해도 과언이 아니다. 리소그라피 기술은 i-line (파장 365nm), KrF (파장 248nm), ArF (파장 193nm)로 이어지는 단파장화와 위상변위 마스크 (phase shift mask) 등의 해상도 향상기술, 레지스트의 성능향상에 의해 연장되어온 전통적인 광리소그라피가 주류를 이뤄왔다. 현재는 이러한 발전을 계속하기 위해 F2 (파장 157nm) 리소그라피 기술의 개발이 활발히 이뤄지고 있다.
 

2. EUVL test bed (PAL 11B EUV beam line) ....포항방사광가속기연구소(Pohang Accelerator Laborotary)

본 연구실에서는 포항방사광가속기연구소내 극자외선 빔라인 '11B EUV Lithography Hanyang Univ.' 을 구축하였으며 EUVL test bed 구축 및 평가기술 개발을 시행하고 있다.

차세대 반도체 기술의 핵심인 차세대 노광기술분야에 대해 산업자원부는 2002년 12월부터 향후 10년간 "차세대 신기술개발사업"으로서 연구개발지원을 시작하게 되었으며 총괄연구책임자인 한양대학교 안진호 교수의 책임하에 삼성전자 및 동진쎄미켐 두 대기업과 한양대, 서울대, 포항공대, 성균관대, 국민대가 참여하는 컨소시움 형태로 년간 33억원 이상의 규모로 투자될 계획이다. 이 기술은 약 2008년경부터 상용화가 기대되는 양산형 차세대 패턴전사기술로서 새로운 빛 (극자외선: 파장 13.5nm)을 이용하여 기존기술의 한계를 뛰어 넘는 "양산형" 기술이며, 이를 이용하면 65nm (나노미터는 10억분의 1미터)이하의 패턴구현이 가능해지며, 이는 사람 머리카락 굵기에 300개 정도의 선을 그을 수 있고, 64 Giga-bit급의 초고집적 메모리 및 10GHz 이상의 초고속 마이크로 프로세서의 대량생산이 가능해져 반도체 강국으로서의 입지를 확고히 할 수 있을 뿐만 아니라, 나노기술에의 파급효과도 클 것이라는 예상이다.
 

 

 

 

EUV Lithography

Non-optical patterning

Thin Film process

3D Printing

연구성과
Hyun-Duck Shin, Chang Young Jeong
Refilled mask structure for Minimizing Shadowing Effect on EUV Lithography Jinho Ahn†,
Journal of the semiconductor & Display Technology , 2010, Vol. 0, No. 0, pp. 0~ 0

Y. R. Park, J. H. Ahn, J. S. Kim, B. S. Kwon, and N.-E. Lee
Infinitely high selective inductively coupled plasma etching of an indium tin oxide binary mask structure for extreme ultraviolet lithography
, Vol. 0, No. 0, pp. 0~ 0

Chang Young Jeong, Sangsul Lee, Jae Uk Lee, Han-sun Cha and Jinho Ahn
Determination of the CD Performance and Carbon Contamination of an EUV Mask by Using a Coherent Scattering Microscopy/In-situ Contamination System Jonggul Doh
Journal of the Korean Physical Society , , Vol. 0, No. 0, pp. 0~ 0

Sejong Seong, Yong Chan Jung, Taehoon Lee, In-Sung Park, Jinho Ahn
Enhanced uniformity in electrical and optical properties of ITO thin films using a wide thermal annealing system
Materials Science in Semiconductor Processing 2018.06.01., 2018.06, Vol. 0, No. 0, pp. 0~ 0

Yong Chan Jung, Sejong Seong, Taehoon Lee, Seon Yong Kim, In-Sung Park, and Jinho Ahn
Improved resistive switching characteristics of a Pt/HfO2/Pt resistor by controlling anode interface with forming and switching polarity
Applied Surface Science, 2018.03, Vol. 0, No. 0, pp. 0~ 0

Minho Choi, Heechae Choi, Seungchul Kim, Jinho Ahn and Yong Tae Kim
Effects of an In vacancy on local distortion of fast phase transition in Bi-doped In3SbTe2
Journal of the Korean Physical Society, 2017.12, Vol. 0, No. 0, pp. 0~ 0

Effects of Y Dopant on Lattice Distortion and Electrical Properties of In3SbTe2 Phase-Change Material
Minho Choi, Heechae Choi, Sehyun Kwon, Seungchul Kim, Kwang-Ryeol Lee, Jinho Ahn, Yong Tae Kim
Physica Status Solidi - Rapid Research Letters, 2017.09, Vol. 0, No. 0, pp. 0~ 0